您好,欢迎来到意榕旅游网。
搜索
您的当前位置:首页VHDL 和 Verilog 语 言 的 设 计 方 法

VHDL 和 Verilog 语 言 的 设 计 方 法

来源:意榕旅游网
第 五 节 ispDesignEXPERT 系 统 中 VHDL

和 Verilog 语 言 的 设 计 方 法

除 了 支 持 原 理 图 和 ABEL-HDL 语 言 输 入 外,商 业 版 的 ispDesignEXPERT 系 统 中 提 供 了 VHDL 和 Verilog 语 言 的 设 计 人 口。 用 户 的 VHDL 或 Verilog 设 计 可 以 经 ispDesignEXPERT 系 统 提 供 的 综 合 器 进 行 编 译 综 合, 生 成 EDIF 格 式 的 网 表 文 件, 然 后 可 进 行 逻 辑 或 时 序 仿 真, 最 后 进 行 适 配, 生 成 可 下 载 的 JEDEC 文 件。 I. VHDL 设 计 输 入 的 操 作 步 骤

A. 在 ispDesignEXPERT System Project Navigator 主 窗 口 中,

按 File=>New Project 菜 单 建 立 一 个 新 的 工 程 文 件, 此 时 会 弹 出 如 下 图 所 示 的 对 话 框。 请 注 意: 在 该 对 话 框 中 的 Project Type 栏 中, 必 须 根 据 您 的 设 计 类 型 选 择 相 应 的 工 程 文 件 的 类 型。 本 例 中, 选 择 VHDL 类 型。 若 是 Verilog 设 计 输 入, 则 选 择 Verilog HDL 类 型。

将 该 工 程 文 件 存 盘 为 demo.syn。 B. 在 ispDesignEXPERT System Project Navigator 主 窗 口 中,

选 择 Source=>New 菜 单。 在 弹 出 的 New Source 对 话 框 中, 选 择 VHDL Module 类 型。

C. 此 时, 软 件 会 产 生 一 个 如 下 图 所 示 的 New VHDL

Source 对 话 框:

D.

在 对 话 框 的 各 栏 中, 分 别 填 入 如 上 图 所 示 的 信 息。 按 OK 钮 后, 进 入 文 本 编 辑 器 - Text Editor 编 辑 VHDL 文 件。

在 Text Editor 中 输 入 如 下 的 VHDL 设 计, 并 存 盘。

library ieee;

use ieee.std_logic_1164.all;

entity demo is

port ( A, B, C, D, CK:

architecture demo_architecture of demo is signal INP: std_logic; begin

Process (INP, CK) begin

if (rising_edge(CK)) then

OUTP <= INP; end if; OUTP:

end demo;

in std_logic;

out std_logic);

end process;

INP <= (A and B) or (C and D); end demo_architecture;

E.

此 VHDL 设 计 所 描 述 的 电 路 与 本 教 材 第 二 节 所 输 入 的 原 理 图 相 同, 只 不 过 将 输 出 端 口 OUT 改 名 为 OUTP ( 因 为 OUT 为 VHDL 语 言 保 留 字)。

此 时, 在 ispDesignEXPERT System Project Navigator 主 窗 口 左 侧 的 源 程 序 区 中,demo.vhd 文 件 被 自 动 调 入。 单 击 源 程 序 区 中 的 ispLSI1032E-125LT100 栏, 此 时 的

ispDesignEXPERT System Project Navigator 主 窗 口 如 下 图 所 示:

F.

选 择 菜 单 Tools=>Synplicity Synplify Synthesis产 生 如 下 窗 口。 选 Add 调 入 demo.vhd , 然 后 对 demo.vhd 文 件 进 行 编 译、 综 合。

若 整 个 编 译、 综 合 过 程 无 错 误, 该 窗 口 在 综 合 过 程 结 束 时 会 自 动 关 闭。 若 在 此 过 程 中 出 错, 双 击 上 述 Synplify 窗 口 中 Source Files 栏 中 的

demo.vhd 文 件 进 行 修 改 并 存 盘, 然 后 按 RUN 钮 重 新 编 译。

在 通 过 VHDL 综 合 过 程 后, 可 对 设 计 进 行 功 能 和 时 序 仿 真。 在 ispDesignEXPERT System Project Navigator

G.

主 窗 口 中 按 Source=>New 菜 单, 产 生 并 编 辑 如 下 的 测 试 向 量 文 件 demo.abv :

module demo;

c,x = .c.,.x.;

CK,A,B,C,D,OUTP PIN;

TEST_VECTORS

([CK, A, B, C, D]->[OUTP]) [ c , 0 , 0 , 0 , 0 ]->[ x ]; [ c , 0 , 0 , 1 , 0 ]->[ x ]; [ c , 1 , 1 , 0 , 0 ]->[ x ]; [ c , 0 , 1 , 0 , 1 ]->[ x ]; END

H.

在 ispDesignEXPERT System Project Navigator 主 窗 口 中 选 中 左 侧 的 demo.abv 文 件, 双 击 右 侧 的 Functional Simulation 栏, 进 行 功 能 仿 真。 在 Waveform Viewer 窗 口 中 观 测 信 号 A,B,C,CK,D 和 OUTP, 其 波 形 如 下 图 所 示:

I.

在 ispDesignEXPERT System Project Navigator 主 窗 口 中 选 中 左 侧 的 demo.abv 文 件, 双 击 右 侧 的 Timing

Simulation 栏, 进 行 时 序 仿 真。 选 择 Maximum Delay,在 Waveform Viewer 窗 口 中 观 测 信 号 A,B,C,CK,D 和 OUTP, 其 波 形 如 下 图 所 示:

J.

在 ispDesignEXPERT System Project Navigator 主 窗 口 中 选 中 左 侧 的 ispLSI1032E-125LT100 器 件, 双 击 右 侧 的 Compile Design 栏, 进 行 器 件 适 配。 该 过 程 结 束 后 会 生 成 用 于 下 载 的 JEDEC 文 件 demo.jed。

II. Verilog 设 计 输 入 的 操 作 步 骤

Verilog 设 计 输 入 的 操 作 步 骤 与 VHDL 设 计 输 入 的 操 作 步 骤 完 全 一 致, 在 此 不 再 赘 述。 需 要 注 意 的 是 在 产 生 新 的 工 程 文 件 时, 工 程 文 件 的 类 型 必 须 选 择 为 Verilog HDL。

因篇幅问题不能全部显示,请点此查看更多更全内容

Copyright © 2019- yrrf.cn 版权所有

违法及侵权请联系:TEL:199 1889 7713 E-MAIL:2724546146@qq.com

本站由北京市万商天勤律师事务所王兴未律师提供法律服务